展会信息港展会大全

Cocos2d-X开发中国象棋《六》游戏开始功能的实现
来源:互联网   发布日期:2015-09-27 15:15:40   浏览:1215次  

导读: 我在前面的博客Cocos2d-X开发中国象棋《四》设计游戏场景中介绍了在游戏场景上场景了一个开始按钮,并没有实现开始的功能 游戏开始功能的实现效果: 实现思路: 1、显示随机位置的棋子...

我在前面的博客Cocos2d-X开发中国象棋《四》设计游戏场景中介绍了在游戏场景上场景了一个开始按钮,并没有实现开始的功能

游戏开始功能的实现效果:

实现思路:

1、显示随机位置的棋子

2、将棋子移动到棋盘上的指定位置

具体实现方式:

首先在SceneGame类中定义一个initStone()函数用于初始化棋子

initStone()函数实现了3个功能

1、创建棋子

2、将棋子设置到随机位置

3、隐藏棋子

initStone()中的代码:

//初始化32个棋子

void SceneGame::initStone()

{

CCSize winSize = CCDirector::sharedDirector()->getWinSize();

//摆棋子

for(int i=0; isetPosition(ccp(CCRANDOM_0_1() * winSize.width,

CCRANDOM_0_1() * winSize.height));

//隐藏棋子

_s[i]->setVisible(false);

}

}

然后在SceneGame的Init函数中调用initStone()函数

定义一个开始按钮的响应函数Start()

Start()实现了2个功能:

1、显示隐藏的棋子

2、将棋子移动到棋盘上的指定位置

Start()实现代码

//实现开始

void SceneGame::Start(CCObject*)

{

//摆棋子

for(int i=0; isetVisible(true);

//将棋子移动到棋盘上指定的位置

CCMoveTo* move = CCMoveTo::create(1, this->getStonePos(_s[i]->getX(), _s[i]->getY()));

_s[i]->runAction(move);

}

}

赞助本站

人工智能实验室
AiLab云推荐
展开

热门栏目HotCates

Copyright © 2010-2024 AiLab Team. 人工智能实验室 版权所有    关于我们 | 联系我们 | 广告服务 | 公司动态 | 免责声明 | 隐私条款 | 工作机会 | 展会港